ZPUino Vanilla Variant

The Vanilla variant is a minimal implementation of the ZPUino soft processor. Use it as the starting point to make your own custom variants.

Peripheral Wishbone Slot Location

NameWingSlotNotes
ZPUino_SPI0 
ZPUino_UART1 
zpuino_gpio2 
zpuino_timers3 
zpuino_sigmadelta5 
zpuino_spi6 
zpuino_crc167 

PPS (Peripheral Pin Select)

These pins can be re-located to any desired pin on the Papilio. (:source:)

    gpio_spp_data(0) <= sigmadelta_spp_data(0); -- PPS0 : SIGMADELTA DATA
    gpio_spp_data(1) <= timers_pwm(0);          -- PPS1 : TIMER0
    gpio_spp_data(2) <= timers_pwm(1);          -- PPS2 : TIMER1
    gpio_spp_data(3) <= spi2_mosi;              -- PPS3 : USPI MOSI
    gpio_spp_data(4) <= spi2_sck;               -- PPS4 : USPI SCK
    gpio_spp_data(5) <= sigmadelta_spp_data(1); -- PPS5 : SIGMADELTA1 DATA

    spi2_miso <= gpio_spp_read(0);              -- PPS0 : USPI MISO

(:sourceend:)

  

Share |